記事登録
2008年11月11日(火) 15時47分

「1」が4つ並ぶから11月11日は「バイナリデー」@IT

 11月11日は「バイナリデー」——この記念すべき日付に合わせて、スラッシュドット・ジャパンが同日00時10分に特設ページを設け、業界を代表する「バイナリアン」各氏の思いを公開している。

 スラッシュドット・ジャパンによると、11月11日は「1」が4つ並ぶことから「バイナリデー(Binary Day)」と呼ばれている。ちなみに、日付がすべてバイナリ値となる日は1年に9日あり、寄稿者の1人である竹内郁雄氏は「Binaryとは二進法なので、やはり0も含まれるべき」「10月10日が本当に祝うべきBinary Dayでは」とのコメントを寄せている。

 スラッシュドット・ジャパンが設けた特設ページにはほかにも、日本初のハッカーと呼ばれる和田英一氏のほか、g新部裕氏、後藤正徳氏、竹迫良範氏やMonaOSの開発者、ひげぽん氏などによる祝賀コメント(?)が掲載されている。

【関連記事】
・日本初のハッカー、和田先生が語る「ハッカー気質」(@ITNews)

・Lispの仏さま 竹内郁雄の目力(@IT 自分戦略研究所)

http://headlines.yahoo.co.jp/hl?a=20081111-00000001-zdn_ait-sci